numeric_std_additions.vhdl -- Additions to the package "ieee.numeric_std"

Use model:
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee_proposed.numeric_std_additions.all;

Dependencies: ieee.std_logic_1164, ieee.numeric_std

Please send feedback to David W. Bishop dbishop@vhdl.org.